aboutsummaryrefslogtreecommitdiff
path: root/market.py
diff options
context:
space:
mode:
authorIsmaël Bouya <ismael.bouya@normalesup.org>2018-09-26 11:51:48 +0200
committerIsmaël Bouya <ismael.bouya@normalesup.org>2018-09-26 11:51:48 +0200
commit34865e65933da0db778e171fc787eca114ec562d (patch)
treeb5f513759e4ea98c06d2a61810533ce4bb609edf /market.py
parenta4bb44d8bc27af7c57f439ffd9edca51c2f0afb4 (diff)
parent512972fa1df14df4e208a1182096b1c51b5d38d1 (diff)
downloadTrader-34865e65933da0db778e171fc787eca114ec562d.tar.gz
Trader-34865e65933da0db778e171fc787eca114ec562d.tar.zst
Trader-34865e65933da0db778e171fc787eca114ec562d.zip
Merge branch 'dev'v1.10.2
Diffstat (limited to 'market.py')
-rw-r--r--market.py10
1 files changed, 6 insertions, 4 deletions
diff --git a/market.py b/market.py
index 546ee94..9612b17 100644
--- a/market.py
+++ b/market.py
@@ -427,16 +427,16 @@ class Processor:
427 self.market.report.log_stage("{}_begin".format(process_name)) 427 self.market.report.log_stage("{}_begin".format(process_name))
428 428
429 if "fetch_balances_begin" in step: 429 if "fetch_balances_begin" in step:
430 self.market.balances.fetch_balances(tag="{}_begin".format(process_name), 430 self.run_action("fetch_balances", step["fetch_balances_begin"],
431 **step["fetch_balances_begin"]) 431 dict(options, tag="{}_begin".format(process_name)))
432 432
433 for action in self.ordered_actions: 433 for action in self.ordered_actions:
434 if action in step: 434 if action in step:
435 self.run_action(action, step[action], options) 435 self.run_action(action, step[action], options)
436 436
437 if "fetch_balances_end" in step: 437 if "fetch_balances_end" in step:
438 self.market.balances.fetch_balances(tag="{}_end".format(process_name), 438 self.run_action("fetch_balances", step["fetch_balances_end"],
439 **step["fetch_balances_end"]) 439 dict(options, tag="{}_end".format(process_name)))
440 440
441 self.market.report.log_stage("{}_end".format(process_name)) 441 self.market.report.log_stage("{}_end".format(process_name))
442 442
@@ -459,6 +459,8 @@ class Processor:
459 method = self.market.trades.close_trades 459 method = self.market.trades.close_trades
460 elif action == "print_tickers": 460 elif action == "print_tickers":
461 method = self.market.print_tickers 461 method = self.market.print_tickers
462 elif action == "fetch_balances":
463 method = self.market.balances.fetch_balances
462 464
463 signature = inspect.getfullargspec(method) 465 signature = inspect.getfullargspec(method)
464 defaults = signature.defaults or [] 466 defaults = signature.defaults or []