aboutsummaryrefslogtreecommitdiffhomepage
path: root/inc/3rdparty/libraries/mpdf/includes/ind_or_1_001.volt.php
diff options
context:
space:
mode:
Diffstat (limited to 'inc/3rdparty/libraries/mpdf/includes/ind_or_1_001.volt.php')
-rw-r--r--inc/3rdparty/libraries/mpdf/includes/ind_or_1_001.volt.php2149
1 files changed, 0 insertions, 2149 deletions
diff --git a/inc/3rdparty/libraries/mpdf/includes/ind_or_1_001.volt.php b/inc/3rdparty/libraries/mpdf/includes/ind_or_1_001.volt.php
deleted file mode 100644
index b490e2b0..00000000
--- a/inc/3rdparty/libraries/mpdf/includes/ind_or_1_001.volt.php
+++ /dev/null
@@ -1,2149 +0,0 @@
1<?php
2 $volt = array (
3 0 =>
4 array (
5 'match' => '0B4D 200C',
6 'replace' => '2018',
7 ),
8 1 =>
9 array (
10 'match' => '0B15 0B4D 0B37',
11 'replace' => 'E003',
12 ),
13 2 =>
14 array (
15 'match' => '0B1C 0B4D 0B1E',
16 'replace' => 'E004',
17 ),
18 3 =>
19 array (
20 'match' => '((0B15|0B16|0B17|0B18|0B19|0B1A|0B1B|0B1C|0B1D|0B1E|0B1F|0B20|0B21|0B22|0B23|0B24|0B25|0B26|0B27|0B28|0B2A|0B2B|0B2C|0B2D|0B2E|0B2F|0B30|0B32|0B33|0B35|0B36|0B37|0B38|0B39|0B71|E003|E004|E005|E006|E007|E008|E009|E00A|E00B|E00C|E00D|E00E|E00F|E010|E011|E012|E013|E014|E015|E016|E017|E018|E019|E01A|E01B|E01C|E01D|E01E|E01F|E020|E021|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) 0B30 0B4D',
21 'replace' => '\\1 E069',
22 ),
23 4 =>
24 array (
25 'match' => '((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C)) 0B30 0B4D',
26 'replace' => '\\1 E069',
27 ),
28 5 =>
29 array (
30 'match' => '((0B3E|0B40|E044|0B57|E068|E074|E08B|E08F)) 0B30 0B4D',
31 'replace' => '\\1 E069',
32 ),
33 6 =>
34 array (
35 'match' => '(0B3C) 0B30 0B4D',
36 'replace' => '\\1 E069',
37 ),
38 7 =>
39 array (
40 'match' => '(25CC) 0B30 0B4D',
41 'replace' => '\\1 E069',
42 ),
43 8 =>
44 array (
45 'match' => '((0B15|0B19|0B1A|0B1B|0B1C|0B1D|0B1E|0B20|0B21|0B22|0B24|0B26|0B28|0B2C|0B2D|0B32|0B33|0B35|0B39|25CC)) E069',
46 'replace' => '\\1 E06B',
47 ),
48 9 =>
49 array (
50 'match' => '((0B15|0B19|0B1A|0B1B|0B1C|0B1D|0B1E|0B20|0B21|0B22|0B24|0B26|0B28|0B2C|0B2D|0B32|0B33|0B35|0B39|25CC) 0B3C) E069',
51 'replace' => '\\1 E06B',
52 ),
53 10 =>
54 array (
55 'match' => '0B38 0B4D 0B24 0B4D 0B30',
56 'replace' => 'E01B',
57 ),
58 11 =>
59 array (
60 'match' => '0B28 0B4D 0B24 0B4D 0B30',
61 'replace' => 'E01D',
62 ),
63 12 =>
64 array (
65 'match' => '0B28 0B4D 0B24 0B4D 0B30',
66 'replace' => 'E01C',
67 ),
68 13 =>
69 array (
70 'match' => '0B56 E069',
71 'replace' => 'E070',
72 ),
73 14 =>
74 array (
75 'match' => '0B57 E069',
76 'replace' => 'E074',
77 ),
78 15 =>
79 array (
80 'match' => '0B3F E069',
81 'replace' => 'E06D',
82 ),
83 16 =>
84 array (
85 'match' => '0B40 E069',
86 'replace' => 'E14D',
87 ),
88 17 =>
89 array (
90 'match' => '0B24 0B4D 0B17 0B4D 0B27',
91 'replace' => 'E036',
92 ),
93 18 =>
94 array (
95 'match' => '0B24 0B4D 0B38 0B4D 0B28',
96 'replace' => 'E030',
97 ),
98 19 =>
99 array (
100 'match' => '0B19 0B4D 0B15',
101 'replace' => 'E005',
102 ),
103 20 =>
104 array (
105 'match' => '0B19 0B4D 0B16',
106 'replace' => 'E006',
107 ),
108 21 =>
109 array (
110 'match' => '0B19 0B4D 0B17',
111 'replace' => 'E007',
112 ),
113 22 =>
114 array (
115 'match' => '0B19 0B4D 0B18',
116 'replace' => 'E008',
117 ),
118 23 =>
119 array (
120 'match' => '0B1A 0B4D 0B1A',
121 'replace' => 'E009',
122 ),
123 24 =>
124 array (
125 'match' => '0B1F 0B4D 0B1F',
126 'replace' => 'E00A',
127 ),
128 25 =>
129 array (
130 'match' => '0B24 0B4D 0B24',
131 'replace' => 'E00B',
132 ),
133 26 =>
134 array (
135 'match' => '0B26 0B4D 0B27',
136 'replace' => 'E00C',
137 ),
138 27 =>
139 array (
140 'match' => '0B26 0B4D 0B26',
141 'replace' => 'E00D',
142 ),
143 28 =>
144 array (
145 'match' => '0B23 0B4D 0B23',
146 'replace' => 'E00F',
147 ),
148 29 =>
149 array (
150 'match' => '0B1E 0B4D 0B1A',
151 'replace' => 'E011',
152 ),
153 30 =>
154 array (
155 'match' => '0B1E 0B4D 0B1D',
156 'replace' => 'E012',
157 ),
158 31 =>
159 array (
160 'match' => '0B1E 0B4D 0B1C',
161 'replace' => 'E013',
162 ),
163 32 =>
164 array (
165 'match' => '0B26 0B4D 0B2D',
166 'replace' => 'E014',
167 ),
168 33 =>
169 array (
170 'match' => '0B27 0B4D 0B27',
171 'replace' => 'E015',
172 ),
173 34 =>
174 array (
175 'match' => '0B2C 0B4D 0B26',
176 'replace' => 'E016',
177 ),
178 35 =>
179 array (
180 'match' => '0B28 0B4D 0B26',
181 'replace' => 'E017',
182 ),
183 36 =>
184 array (
185 'match' => '0B28 0B4D 0B27',
186 'replace' => 'E018',
187 ),
188 37 =>
189 array (
190 'match' => '0B2E 0B4D 0B2B',
191 'replace' => 'E019',
192 ),
193 38 =>
194 array (
195 'match' => '0B2E 0B4D 0B2A',
196 'replace' => 'E01A',
197 ),
198 39 =>
199 array (
200 'match' => '0B37 0B4D 0B23',
201 'replace' => 'E010',
202 ),
203 40 =>
204 array (
205 'match' => '0B39 0B4D 0B28',
206 'replace' => 'E01E',
207 ),
208 41 =>
209 array (
210 'match' => '0B39 0B4D 0B35',
211 'replace' => 'E01F',
212 ),
213 42 =>
214 array (
215 'match' => '0B39 0B4D 0B2E',
216 'replace' => 'E020',
217 ),
218 43 =>
219 array (
220 'match' => '0B1A 0B4D 0B1B',
221 'replace' => 'E021',
222 ),
223 44 =>
224 array (
225 'match' => '0B1E 0B4D 0B1B',
226 'replace' => 'E023',
227 ),
228 45 =>
229 array (
230 'match' => '0B2E 0B4D 0B2D',
231 'replace' => 'E024',
232 ),
233 46 =>
234 array (
235 'match' => '0B28 0B4D 0B24',
236 'replace' => 'E025',
237 ),
238 47 =>
239 array (
240 'match' => '0B38 0B4D 0B24',
241 'replace' => 'E026',
242 ),
243 48 =>
244 array (
245 'match' => '0B2A 0B4D 0B24',
246 'replace' => 'E027',
247 ),
248 49 =>
249 array (
250 'match' => '0B15 0B4D 0B24',
251 'replace' => 'E028',
252 ),
253 50 =>
254 array (
255 'match' => '0B23 0B4D 0B21',
256 'replace' => 'E029',
257 ),
258 51 =>
259 array (
260 'match' => '0B24 0B4D 0B15',
261 'replace' => 'E02A',
262 ),
263 52 =>
264 array (
265 'match' => '0B24 0B4D 0B38',
266 'replace' => 'E02B',
267 ),
268 53 =>
269 array (
270 'match' => '0B24 0B4D 0B2A',
271 'replace' => 'E02C',
272 ),
273 54 =>
274 array (
275 'match' => '0B23 0B4D 0B22',
276 'replace' => 'E031',
277 ),
278 55 =>
279 array (
280 'match' => '0B36 0B4D 0B1B',
281 'replace' => 'E032',
282 ),
283 56 =>
284 array (
285 'match' => '0B24 0B4D 0B28',
286 'replace' => 'E033',
287 ),
288 57 =>
289 array (
290 'match' => '0B24 0B4D 0B2E',
291 'replace' => 'E034',
292 ),
293 58 =>
294 array (
295 'match' => '0B17 0B4D 0B27',
296 'replace' => 'E035',
297 ),
298 59 =>
299 array (
300 'match' => '0B24 0B4D 0B2B',
301 'replace' => 'E037',
302 ),
303 60 =>
304 array (
305 'match' => '0B2E 0B4D 0B2E',
306 'replace' => 'E14A',
307 ),
308 61 =>
309 array (
310 'match' => '0B21 0B3C',
311 'replace' => '0B5C',
312 ),
313 62 =>
314 array (
315 'match' => '0B22 0B3C',
316 'replace' => '0B5D',
317 ),
318 63 =>
319 array (
320 'match' => '((0B15|0B16|0B17|0B18|0B19|0B1A|0B1B|0B1C|0B1D|0B1E|0B1F|0B20|0B21|0B22|0B23|0B24|0B25|0B26|0B27|0B28|0B2A|0B2B|0B2C|0B2D|0B2E|0B2F|0B30|0B32|0B33|0B35|0B36|0B37|0B38|0B39|0B71|E003|E004|E005|E006|E007|E008|E009|E00A|E00B|E00C|E00D|E00E|E00F|E010|E011|E012|E013|E014|E015|E016|E017|E018|E019|E01A|E01B|E01C|E01D|E01E|E01F|E020|E021|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) 0B4D',
321 'replace' => '\\1 2019',
322 ),
323 64 =>
324 array (
325 'match' => '((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C)) 0B4D',
326 'replace' => '\\1 2019',
327 ),
328 65 =>
329 array (
330 'match' => '(E069) 0B4D',
331 'replace' => '\\1 2019',
332 ),
333 66 =>
334 array (
335 'match' => '((0B41|E045|0B42|0B43|E053|E056|E059|0B3C)) 0B4D',
336 'replace' => '\\1 2019',
337 ),
338 67 =>
339 array (
340 'match' => '(200D) 0B4D',
341 'replace' => '\\1 2019',
342 ),
343 68 =>
344 array (
345 'match' => '(25CC) 0B4D',
346 'replace' => '\\1 2019',
347 ),
348 69 =>
349 array (
350 'match' => '(0020) 0B4D',
351 'replace' => '\\1 2019',
352 ),
353 70 =>
354 array (
355 'match' => '200D 2019',
356 'replace' => '2019',
357 ),
358 71 =>
359 array (
360 'match' => '2019 0B30',
361 'replace' => 'E075',
362 ),
363 72 =>
364 array (
365 'match' => '2019 0B5F',
366 'replace' => 'E077',
367 ),
368 73 =>
369 array (
370 'match' => '2019 0B35',
371 'replace' => 'E078',
372 ),
373 74 =>
374 array (
375 'match' => '2019 0B32',
376 'replace' => 'E110',
377 ),
378 75 =>
379 array (
380 'match' => '2019 0B33',
381 'replace' => 'E111',
382 ),
383 76 =>
384 array (
385 'match' => '2019 0B2E',
386 'replace' => 'E11C',
387 ),
388 77 =>
389 array (
390 'match' => '2019 0B15',
391 'replace' => 'E0F6',
392 ),
393 78 =>
394 array (
395 'match' => '2019 0B16',
396 'replace' => 'E0F7',
397 ),
398 79 =>
399 array (
400 'match' => '2019 0B17',
401 'replace' => 'E0F8',
402 ),
403 80 =>
404 array (
405 'match' => '2019 0B18',
406 'replace' => 'E0F9',
407 ),
408 81 =>
409 array (
410 'match' => '2019 0B19',
411 'replace' => 'E0FA',
412 ),
413 82 =>
414 array (
415 'match' => '2019 0B1A',
416 'replace' => 'E0FB',
417 ),
418 83 =>
419 array (
420 'match' => '2019 0B1B',
421 'replace' => 'E0FC',
422 ),
423 84 =>
424 array (
425 'match' => '2019 0B1C',
426 'replace' => 'E0FD',
427 ),
428 85 =>
429 array (
430 'match' => '2019 0B1D',
431 'replace' => 'E0FE',
432 ),
433 86 =>
434 array (
435 'match' => '2019 0B1E',
436 'replace' => 'E0FF',
437 ),
438 87 =>
439 array (
440 'match' => '2019 0B1F',
441 'replace' => 'E100',
442 ),
443 88 =>
444 array (
445 'match' => '2019 0B20',
446 'replace' => 'E101',
447 ),
448 89 =>
449 array (
450 'match' => '2019 0B21',
451 'replace' => 'E102',
452 ),
453 90 =>
454 array (
455 'match' => '2019 0B22',
456 'replace' => 'E103',
457 ),
458 91 =>
459 array (
460 'match' => '2019 0B23',
461 'replace' => 'E104',
462 ),
463 92 =>
464 array (
465 'match' => '2019 0B24',
466 'replace' => 'E105',
467 ),
468 93 =>
469 array (
470 'match' => '2019 0B25',
471 'replace' => 'E106',
472 ),
473 94 =>
474 array (
475 'match' => '2019 0B26',
476 'replace' => 'E107',
477 ),
478 95 =>
479 array (
480 'match' => '2019 0B27',
481 'replace' => 'E108',
482 ),
483 96 =>
484 array (
485 'match' => '2019 0B28',
486 'replace' => 'E109',
487 ),
488 97 =>
489 array (
490 'match' => '2019 0B2A',
491 'replace' => 'E10A',
492 ),
493 98 =>
494 array (
495 'match' => '2019 0B2B',
496 'replace' => 'E10B',
497 ),
498 99 =>
499 array (
500 'match' => '2019 0B2C',
501 'replace' => 'E10C',
502 ),
503 100 =>
504 array (
505 'match' => '2019 0B2D',
506 'replace' => 'E10D',
507 ),
508 101 =>
509 array (
510 'match' => '2019 0B2E',
511 'replace' => 'E10E',
512 ),
513 102 =>
514 array (
515 'match' => '2019 0B2F',
516 'replace' => 'E10F',
517 ),
518 103 =>
519 array (
520 'match' => '2019 0B32',
521 'replace' => 'E110',
522 ),
523 104 =>
524 array (
525 'match' => '2019 0B33',
526 'replace' => 'E111',
527 ),
528 105 =>
529 array (
530 'match' => '2019 0B35',
531 'replace' => 'E112',
532 ),
533 106 =>
534 array (
535 'match' => '2019 0B36',
536 'replace' => 'E113',
537 ),
538 107 =>
539 array (
540 'match' => '2019 0B37',
541 'replace' => 'E114',
542 ),
543 108 =>
544 array (
545 'match' => '2019 0B38',
546 'replace' => 'E115',
547 ),
548 109 =>
549 array (
550 'match' => '2019 0B39',
551 'replace' => 'E116',
552 ),
553 110 =>
554 array (
555 'match' => '2019 E003',
556 'replace' => 'E119',
557 ),
558 111 =>
559 array (
560 'match' => '2019 E004',
561 'replace' => 'E11A',
562 ),
563 112 =>
564 array (
565 'match' => '2019 0B35',
566 'replace' => 'E078',
567 ),
568 113 =>
569 array (
570 'match' => '2019 0B71',
571 'replace' => 'E078',
572 ),
573 114 =>
574 array (
575 'match' => '0B15 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
576 'replace' => 'E090 \\1',
577 ),
578 115 =>
579 array (
580 'match' => '0B16 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
581 'replace' => 'E091 \\1',
582 ),
583 116 =>
584 array (
585 'match' => '0B17 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
586 'replace' => 'E092 \\1',
587 ),
588 117 =>
589 array (
590 'match' => '0B18 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
591 'replace' => 'E093 \\1',
592 ),
593 118 =>
594 array (
595 'match' => '0B19 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
596 'replace' => 'E094 \\1',
597 ),
598 119 =>
599 array (
600 'match' => '0B1A ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
601 'replace' => 'E095 \\1',
602 ),
603 120 =>
604 array (
605 'match' => '0B1B ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
606 'replace' => 'E096 \\1',
607 ),
608 121 =>
609 array (
610 'match' => '0B1C ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
611 'replace' => 'E097 \\1',
612 ),
613 122 =>
614 array (
615 'match' => '0B1D ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
616 'replace' => 'E098 \\1',
617 ),
618 123 =>
619 array (
620 'match' => '0B1E ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
621 'replace' => 'E099 \\1',
622 ),
623 124 =>
624 array (
625 'match' => '0B1F ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
626 'replace' => 'E09A \\1',
627 ),
628 125 =>
629 array (
630 'match' => '0B20 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
631 'replace' => 'E09B \\1',
632 ),
633 126 =>
634 array (
635 'match' => '0B21 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
636 'replace' => 'E09C \\1',
637 ),
638 127 =>
639 array (
640 'match' => '0B22 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
641 'replace' => 'E09D \\1',
642 ),
643 128 =>
644 array (
645 'match' => '0B23 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
646 'replace' => 'E09E \\1',
647 ),
648 129 =>
649 array (
650 'match' => '0B24 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
651 'replace' => 'E09F \\1',
652 ),
653 130 =>
654 array (
655 'match' => '0B25 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
656 'replace' => 'E0A0 \\1',
657 ),
658 131 =>
659 array (
660 'match' => '0B26 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
661 'replace' => 'E0A1 \\1',
662 ),
663 132 =>
664 array (
665 'match' => '0B27 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
666 'replace' => 'E0A2 \\1',
667 ),
668 133 =>
669 array (
670 'match' => '0B28 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
671 'replace' => 'E0A3 \\1',
672 ),
673 134 =>
674 array (
675 'match' => '0B2A ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
676 'replace' => 'E0A4 \\1',
677 ),
678 135 =>
679 array (
680 'match' => '0B2B ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
681 'replace' => 'E0A5 \\1',
682 ),
683 136 =>
684 array (
685 'match' => '0B2C ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
686 'replace' => 'E0A6 \\1',
687 ),
688 137 =>
689 array (
690 'match' => '0B2D ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
691 'replace' => 'E0A7 \\1',
692 ),
693 138 =>
694 array (
695 'match' => '0B2E ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
696 'replace' => 'E0A8 \\1',
697 ),
698 139 =>
699 array (
700 'match' => '0B2F ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
701 'replace' => 'E0A9 \\1',
702 ),
703 140 =>
704 array (
705 'match' => '0B32 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
706 'replace' => 'E0AA \\1',
707 ),
708 141 =>
709 array (
710 'match' => '0B33 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
711 'replace' => 'E0AB \\1',
712 ),
713 142 =>
714 array (
715 'match' => '0B35 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
716 'replace' => 'E0AC \\1',
717 ),
718 143 =>
719 array (
720 'match' => '0B36 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
721 'replace' => 'E0AD \\1',
722 ),
723 144 =>
724 array (
725 'match' => '0B37 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
726 'replace' => 'E0AE \\1',
727 ),
728 145 =>
729 array (
730 'match' => '0B38 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
731 'replace' => 'E0AF \\1',
732 ),
733 146 =>
734 array (
735 'match' => '0B39 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
736 'replace' => 'E0B0 \\1',
737 ),
738 147 =>
739 array (
740 'match' => 'E003 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
741 'replace' => 'E0B1 \\1',
742 ),
743 148 =>
744 array (
745 'match' => 'E004 ((E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
746 'replace' => 'E0B2 \\1',
747 ),
748 149 =>
749 array (
750 'match' => '0B15 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
751 'replace' => 'E090 \\1',
752 ),
753 150 =>
754 array (
755 'match' => '0B16 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
756 'replace' => 'E091 \\1',
757 ),
758 151 =>
759 array (
760 'match' => '0B17 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
761 'replace' => 'E092 \\1',
762 ),
763 152 =>
764 array (
765 'match' => '0B18 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
766 'replace' => 'E093 \\1',
767 ),
768 153 =>
769 array (
770 'match' => '0B19 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
771 'replace' => 'E094 \\1',
772 ),
773 154 =>
774 array (
775 'match' => '0B1A ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
776 'replace' => 'E095 \\1',
777 ),
778 155 =>
779 array (
780 'match' => '0B1B ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
781 'replace' => 'E096 \\1',
782 ),
783 156 =>
784 array (
785 'match' => '0B1C ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
786 'replace' => 'E097 \\1',
787 ),
788 157 =>
789 array (
790 'match' => '0B1D ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
791 'replace' => 'E098 \\1',
792 ),
793 158 =>
794 array (
795 'match' => '0B1E ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
796 'replace' => 'E099 \\1',
797 ),
798 159 =>
799 array (
800 'match' => '0B1F ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
801 'replace' => 'E09A \\1',
802 ),
803 160 =>
804 array (
805 'match' => '0B20 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
806 'replace' => 'E09B \\1',
807 ),
808 161 =>
809 array (
810 'match' => '0B21 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
811 'replace' => 'E09C \\1',
812 ),
813 162 =>
814 array (
815 'match' => '0B22 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
816 'replace' => 'E09D \\1',
817 ),
818 163 =>
819 array (
820 'match' => '0B23 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
821 'replace' => 'E09E \\1',
822 ),
823 164 =>
824 array (
825 'match' => '0B24 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
826 'replace' => 'E09F \\1',
827 ),
828 165 =>
829 array (
830 'match' => '0B25 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
831 'replace' => 'E0A0 \\1',
832 ),
833 166 =>
834 array (
835 'match' => '0B26 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
836 'replace' => 'E0A1 \\1',
837 ),
838 167 =>
839 array (
840 'match' => '0B27 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
841 'replace' => 'E0A2 \\1',
842 ),
843 168 =>
844 array (
845 'match' => '0B28 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
846 'replace' => 'E0A3 \\1',
847 ),
848 169 =>
849 array (
850 'match' => '0B2A ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
851 'replace' => 'E0A4 \\1',
852 ),
853 170 =>
854 array (
855 'match' => '0B2B ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
856 'replace' => 'E0A5 \\1',
857 ),
858 171 =>
859 array (
860 'match' => '0B2C ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
861 'replace' => 'E0A6 \\1',
862 ),
863 172 =>
864 array (
865 'match' => '0B2D ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
866 'replace' => 'E0A7 \\1',
867 ),
868 173 =>
869 array (
870 'match' => '0B2E ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
871 'replace' => 'E0A8 \\1',
872 ),
873 174 =>
874 array (
875 'match' => '0B2F ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
876 'replace' => 'E0A9 \\1',
877 ),
878 175 =>
879 array (
880 'match' => '0B32 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
881 'replace' => 'E0AA \\1',
882 ),
883 176 =>
884 array (
885 'match' => '0B33 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
886 'replace' => 'E0AB \\1',
887 ),
888 177 =>
889 array (
890 'match' => '0B35 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
891 'replace' => 'E0AC \\1',
892 ),
893 178 =>
894 array (
895 'match' => '0B36 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
896 'replace' => 'E0AD \\1',
897 ),
898 179 =>
899 array (
900 'match' => '0B37 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
901 'replace' => 'E0AE \\1',
902 ),
903 180 =>
904 array (
905 'match' => '0B38 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
906 'replace' => 'E0AF \\1',
907 ),
908 181 =>
909 array (
910 'match' => '0B39 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
911 'replace' => 'E0B0 \\1',
912 ),
913 182 =>
914 array (
915 'match' => 'E003 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
916 'replace' => 'E0B1 \\1',
917 ),
918 183 =>
919 array (
920 'match' => 'E004 ((0B01|0B3F|0B56|E06B|E041|E064|E06D|E070|E089|E08C) (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
921 'replace' => 'E0B2 \\1',
922 ),
923 184 =>
924 array (
925 'match' => '0B15 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
926 'replace' => 'E090 \\1',
927 ),
928 185 =>
929 array (
930 'match' => '0B16 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
931 'replace' => 'E091 \\1',
932 ),
933 186 =>
934 array (
935 'match' => '0B17 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
936 'replace' => 'E092 \\1',
937 ),
938 187 =>
939 array (
940 'match' => '0B18 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
941 'replace' => 'E093 \\1',
942 ),
943 188 =>
944 array (
945 'match' => '0B19 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
946 'replace' => 'E094 \\1',
947 ),
948 189 =>
949 array (
950 'match' => '0B1A (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
951 'replace' => 'E095 \\1',
952 ),
953 190 =>
954 array (
955 'match' => '0B1B (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
956 'replace' => 'E096 \\1',
957 ),
958 191 =>
959 array (
960 'match' => '0B1C (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
961 'replace' => 'E097 \\1',
962 ),
963 192 =>
964 array (
965 'match' => '0B1D (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
966 'replace' => 'E098 \\1',
967 ),
968 193 =>
969 array (
970 'match' => '0B1E (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
971 'replace' => 'E099 \\1',
972 ),
973 194 =>
974 array (
975 'match' => '0B1F (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
976 'replace' => 'E09A \\1',
977 ),
978 195 =>
979 array (
980 'match' => '0B20 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
981 'replace' => 'E09B \\1',
982 ),
983 196 =>
984 array (
985 'match' => '0B21 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
986 'replace' => 'E09C \\1',
987 ),
988 197 =>
989 array (
990 'match' => '0B22 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
991 'replace' => 'E09D \\1',
992 ),
993 198 =>
994 array (
995 'match' => '0B23 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
996 'replace' => 'E09E \\1',
997 ),
998 199 =>
999 array (
1000 'match' => '0B24 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1001 'replace' => 'E09F \\1',
1002 ),
1003 200 =>
1004 array (
1005 'match' => '0B25 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1006 'replace' => 'E0A0 \\1',
1007 ),
1008 201 =>
1009 array (
1010 'match' => '0B26 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1011 'replace' => 'E0A1 \\1',
1012 ),
1013 202 =>
1014 array (
1015 'match' => '0B27 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1016 'replace' => 'E0A2 \\1',
1017 ),
1018 203 =>
1019 array (
1020 'match' => '0B28 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1021 'replace' => 'E0A3 \\1',
1022 ),
1023 204 =>
1024 array (
1025 'match' => '0B2A (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1026 'replace' => 'E0A4 \\1',
1027 ),
1028 205 =>
1029 array (
1030 'match' => '0B2B (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1031 'replace' => 'E0A5 \\1',
1032 ),
1033 206 =>
1034 array (
1035 'match' => '0B2C (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1036 'replace' => 'E0A6 \\1',
1037 ),
1038 207 =>
1039 array (
1040 'match' => '0B2D (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1041 'replace' => 'E0A7 \\1',
1042 ),
1043 208 =>
1044 array (
1045 'match' => '0B2E (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1046 'replace' => 'E0A8 \\1',
1047 ),
1048 209 =>
1049 array (
1050 'match' => '0B2F (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1051 'replace' => 'E0A9 \\1',
1052 ),
1053 210 =>
1054 array (
1055 'match' => '0B32 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1056 'replace' => 'E0AA \\1',
1057 ),
1058 211 =>
1059 array (
1060 'match' => '0B33 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1061 'replace' => 'E0AB \\1',
1062 ),
1063 212 =>
1064 array (
1065 'match' => '0B35 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1066 'replace' => 'E0AC \\1',
1067 ),
1068 213 =>
1069 array (
1070 'match' => '0B36 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1071 'replace' => 'E0AD \\1',
1072 ),
1073 214 =>
1074 array (
1075 'match' => '0B37 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1076 'replace' => 'E0AE \\1',
1077 ),
1078 215 =>
1079 array (
1080 'match' => '0B38 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1081 'replace' => 'E0AF \\1',
1082 ),
1083 216 =>
1084 array (
1085 'match' => '0B39 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1086 'replace' => 'E0B0 \\1',
1087 ),
1088 217 =>
1089 array (
1090 'match' => 'E003 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1091 'replace' => 'E0B1 \\1',
1092 ),
1093 218 =>
1094 array (
1095 'match' => 'E004 (0B3C (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1096 'replace' => 'E0B2 \\1',
1097 ),
1098 219 =>
1099 array (
1100 'match' => '0B15 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1101 'replace' => 'E090 \\1',
1102 ),
1103 220 =>
1104 array (
1105 'match' => '0B16 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1106 'replace' => 'E091 \\1',
1107 ),
1108 221 =>
1109 array (
1110 'match' => '0B17 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1111 'replace' => 'E092 \\1',
1112 ),
1113 222 =>
1114 array (
1115 'match' => '0B18 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1116 'replace' => 'E093 \\1',
1117 ),
1118 223 =>
1119 array (
1120 'match' => '0B19 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1121 'replace' => 'E094 \\1',
1122 ),
1123 224 =>
1124 array (
1125 'match' => '0B1A (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1126 'replace' => 'E095 \\1',
1127 ),
1128 225 =>
1129 array (
1130 'match' => '0B1B (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1131 'replace' => 'E096 \\1',
1132 ),
1133 226 =>
1134 array (
1135 'match' => '0B1C (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1136 'replace' => 'E097 \\1',
1137 ),
1138 227 =>
1139 array (
1140 'match' => '0B1D (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1141 'replace' => 'E098 \\1',
1142 ),
1143 228 =>
1144 array (
1145 'match' => '0B1E (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1146 'replace' => 'E099 \\1',
1147 ),
1148 229 =>
1149 array (
1150 'match' => '0B1F (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1151 'replace' => 'E09A \\1',
1152 ),
1153 230 =>
1154 array (
1155 'match' => '0B20 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1156 'replace' => 'E09B \\1',
1157 ),
1158 231 =>
1159 array (
1160 'match' => '0B21 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1161 'replace' => 'E09C \\1',
1162 ),
1163 232 =>
1164 array (
1165 'match' => '0B22 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1166 'replace' => 'E09D \\1',
1167 ),
1168 233 =>
1169 array (
1170 'match' => '0B23 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1171 'replace' => 'E09E \\1',
1172 ),
1173 234 =>
1174 array (
1175 'match' => '0B24 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1176 'replace' => 'E09F \\1',
1177 ),
1178 235 =>
1179 array (
1180 'match' => '0B25 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1181 'replace' => 'E0A0 \\1',
1182 ),
1183 236 =>
1184 array (
1185 'match' => '0B26 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1186 'replace' => 'E0A1 \\1',
1187 ),
1188 237 =>
1189 array (
1190 'match' => '0B27 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1191 'replace' => 'E0A2 \\1',
1192 ),
1193 238 =>
1194 array (
1195 'match' => '0B28 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1196 'replace' => 'E0A3 \\1',
1197 ),
1198 239 =>
1199 array (
1200 'match' => '0B2A (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1201 'replace' => 'E0A4 \\1',
1202 ),
1203 240 =>
1204 array (
1205 'match' => '0B2B (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1206 'replace' => 'E0A5 \\1',
1207 ),
1208 241 =>
1209 array (
1210 'match' => '0B2C (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1211 'replace' => 'E0A6 \\1',
1212 ),
1213 242 =>
1214 array (
1215 'match' => '0B2D (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1216 'replace' => 'E0A7 \\1',
1217 ),
1218 243 =>
1219 array (
1220 'match' => '0B2E (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1221 'replace' => 'E0A8 \\1',
1222 ),
1223 244 =>
1224 array (
1225 'match' => '0B2F (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1226 'replace' => 'E0A9 \\1',
1227 ),
1228 245 =>
1229 array (
1230 'match' => '0B32 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1231 'replace' => 'E0AA \\1',
1232 ),
1233 246 =>
1234 array (
1235 'match' => '0B33 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1236 'replace' => 'E0AB \\1',
1237 ),
1238 247 =>
1239 array (
1240 'match' => '0B35 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1241 'replace' => 'E0AC \\1',
1242 ),
1243 248 =>
1244 array (
1245 'match' => '0B36 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1246 'replace' => 'E0AD \\1',
1247 ),
1248 249 =>
1249 array (
1250 'match' => '0B37 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1251 'replace' => 'E0AE \\1',
1252 ),
1253 250 =>
1254 array (
1255 'match' => '0B38 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1256 'replace' => 'E0AF \\1',
1257 ),
1258 251 =>
1259 array (
1260 'match' => '0B39 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1261 'replace' => 'E0B0 \\1',
1262 ),
1263 252 =>
1264 array (
1265 'match' => 'E003 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1266 'replace' => 'E0B1 \\1',
1267 ),
1268 253 =>
1269 array (
1270 'match' => 'E004 (E069 (E07B|E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E11E|E11F|E120|E121|E122|E11B|E11C))',
1271 'replace' => 'E0B2 \\1',
1272 ),
1273 254 =>
1274 array (
1275 'match' => '0B25 0B3F',
1276 'replace' => 'E02D',
1277 ),
1278 255 =>
1279 array (
1280 'match' => '0B27 0B3F',
1281 'replace' => 'E02E',
1282 ),
1283 256 =>
1284 array (
1285 'match' => '0B16 0B3F',
1286 'replace' => 'E02F',
1287 ),
1288 257 =>
1289 array (
1290 'match' => '(0B3C) 0B4D',
1291 'replace' => '\\1 E063',
1292 ),
1293 258 =>
1294 array (
1295 'match' => '(0B3C) E075',
1296 'replace' => '\\1 E076',
1297 ),
1298 259 =>
1299 array (
1300 'match' => '2018',
1301 'replace' => '0B4D',
1302 ),
1303 260 =>
1304 array (
1305 'match' => '2019',
1306 'replace' => '0B4D',
1307 ),
1308 261 =>
1309 array (
1310 'match' => '((0B16|0B17|0B18|0B1F|0B23|0B25|0B27|0B2A|0B2B|0B2E|0B2F|0B37|0B38)) 0B01',
1311 'replace' => '\\1 E039',
1312 ),
1313 262 =>
1314 array (
1315 'match' => '((E003|E006|E007|E008|E019|E01A|E00F|E010|E015|E01B|E024|E026|E027|E029|E14A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E034|E035|E036|E037)) 0B01',
1316 'replace' => '\\1 E039',
1317 ),
1318 263 =>
1319 array (
1320 'match' => '0B3F 0B01',
1321 'replace' => 'E041',
1322 ),
1323 264 =>
1324 array (
1325 'match' => 'E03F 0B01',
1326 'replace' => 'E041',
1327 ),
1328 265 =>
1329 array (
1330 'match' => 'E040 0B01',
1331 'replace' => 'E042',
1332 ),
1333 266 =>
1334 array (
1335 'match' => '0B40 0B01',
1336 'replace' => 'E044',
1337 ),
1338 267 =>
1339 array (
1340 'match' => '0B57 0B01',
1341 'replace' => 'E068',
1342 ),
1343 268 =>
1344 array (
1345 'match' => '0B56 0B01',
1346 'replace' => 'E064',
1347 ),
1348 269 =>
1349 array (
1350 'match' => 'E05D 0B01',
1351 'replace' => 'E064',
1352 ),
1353 270 =>
1354 array (
1355 'match' => 'E05E 0B01',
1356 'replace' => 'E065',
1357 ),
1358 271 =>
1359 array (
1360 'match' => 'E05F 0B01',
1361 'replace' => 'E066',
1362 ),
1363 272 =>
1364 array (
1365 'match' => 'E060 0B01',
1366 'replace' => 'E067',
1367 ),
1368 273 =>
1369 array (
1370 'match' => 'E06D 0B01',
1371 'replace' => 'E089',
1372 ),
1373 274 =>
1374 array (
1375 'match' => 'E06E 0B01',
1376 'replace' => 'E08A',
1377 ),
1378 275 =>
1379 array (
1380 'match' => 'E070 0B01',
1381 'replace' => 'E08C',
1382 ),
1383 276 =>
1384 array (
1385 'match' => 'E071 0B01',
1386 'replace' => 'E08D',
1387 ),
1388 277 =>
1389 array (
1390 'match' => 'E072 0B01',
1391 'replace' => 'E08E',
1392 ),
1393 278 =>
1394 array (
1395 'match' => 'E074 0B01',
1396 'replace' => 'E08F',
1397 ),
1398 279 =>
1399 array (
1400 'match' => '((E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FC|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E07B|E084)) 0B3C',
1401 'replace' => '\\1 E03C',
1402 ),
1403 280 =>
1404 array (
1405 'match' => '((E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FC|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E07B|E084)) 0B41',
1406 'replace' => '\\1 E048',
1407 ),
1408 281 =>
1409 array (
1410 'match' => '((E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FC|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E07B|E084)) 0B42',
1411 'replace' => '\\1 E04B',
1412 ),
1413 282 =>
1414 array (
1415 'match' => '((E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FC|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E07B|E084)) 0B43',
1416 'replace' => '\\1 E04F',
1417 ),
1418 283 =>
1419 array (
1420 'match' => '((E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FC|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E07B|E084)) E053',
1421 'replace' => '\\1 E054',
1422 ),
1423 284 =>
1424 array (
1425 'match' => '((E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FC|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E07B|E084)) E056',
1426 'replace' => '\\1 E057',
1427 ),
1428 285 =>
1429 array (
1430 'match' => '((E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FC|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E07B|E084)) E059',
1431 'replace' => '\\1 E05A',
1432 ),
1433 286 =>
1434 array (
1435 'match' => '((E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FC|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E07B|E084)) 0B4D',
1436 'replace' => '\\1 E062',
1437 ),
1438 287 =>
1439 array (
1440 'match' => '((E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FC|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E07B|E084)) E075',
1441 'replace' => '\\1 E076',
1442 ),
1443 288 =>
1444 array (
1445 'match' => '((E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FC|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E07B|E084)) E07B',
1446 'replace' => '\\1 E07C',
1447 ),
1448 289 =>
1449 array (
1450 'match' => '((E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FC|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E07B|E084)) E07D',
1451 'replace' => '\\1 E07F',
1452 ),
1453 290 =>
1454 array (
1455 'match' => '((E0F6|E0F7|E0F8|E0F9|E0FA|E0FB|E0FC|E0FD|E0FE|E0FF|E100|E101|E102|E103|E104|E105|E106|E107|E108|E109|E10A|E10B|E10C|E10D|E10E|E10F|E110|E111|E112|E113|E114|E115|E116|E117|E118|E119|E11A|E11B|E11C|E11D|E07B|E084)) E082',
1456 'replace' => '\\1 E083',
1457 ),
1458 291 =>
1459 array (
1460 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) 0B3C',
1461 'replace' => '\\1 E03C',
1462 ),
1463 292 =>
1464 array (
1465 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) 0B41',
1466 'replace' => '\\1 E048',
1467 ),
1468 293 =>
1469 array (
1470 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) 0B42',
1471 'replace' => '\\1 E04B',
1472 ),
1473 294 =>
1474 array (
1475 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) 0B43',
1476 'replace' => '\\1 E04F',
1477 ),
1478 295 =>
1479 array (
1480 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) E053',
1481 'replace' => '\\1 E054',
1482 ),
1483 296 =>
1484 array (
1485 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) E056',
1486 'replace' => '\\1 E057',
1487 ),
1488 297 =>
1489 array (
1490 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) E059',
1491 'replace' => '\\1 E05A',
1492 ),
1493 298 =>
1494 array (
1495 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) 0B4D',
1496 'replace' => '\\1 E062',
1497 ),
1498 299 =>
1499 array (
1500 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) E075',
1501 'replace' => '\\1 E076',
1502 ),
1503 300 =>
1504 array (
1505 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) E07B',
1506 'replace' => '\\1 E07C',
1507 ),
1508 301 =>
1509 array (
1510 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) E07D',
1511 'replace' => '\\1 E07F',
1512 ),
1513 302 =>
1514 array (
1515 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) E082',
1516 'replace' => '\\1 E083',
1517 ),
1518 303 =>
1519 array (
1520 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069) 0B3C',
1521 'replace' => '\\1 E03C',
1522 ),
1523 304 =>
1524 array (
1525 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069) 0B41',
1526 'replace' => '\\1 E048',
1527 ),
1528 305 =>
1529 array (
1530 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069) 0B42',
1531 'replace' => '\\1 E04B',
1532 ),
1533 306 =>
1534 array (
1535 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069) 0B43',
1536 'replace' => '\\1 E04F',
1537 ),
1538 307 =>
1539 array (
1540 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069) E053',
1541 'replace' => '\\1 E054',
1542 ),
1543 308 =>
1544 array (
1545 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069) E056',
1546 'replace' => '\\1 E057',
1547 ),
1548 309 =>
1549 array (
1550 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069) E059',
1551 'replace' => '\\1 E05A',
1552 ),
1553 310 =>
1554 array (
1555 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069) 0B4D',
1556 'replace' => '\\1 E062',
1557 ),
1558 311 =>
1559 array (
1560 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069) E075',
1561 'replace' => '\\1 E076',
1562 ),
1563 312 =>
1564 array (
1565 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069) E07B',
1566 'replace' => '\\1 E07C',
1567 ),
1568 313 =>
1569 array (
1570 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069) E07D',
1571 'replace' => '\\1 E07F',
1572 ),
1573 314 =>
1574 array (
1575 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069) E082',
1576 'replace' => '\\1 E083',
1577 ),
1578 315 =>
1579 array (
1580 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069 0B01) 0B3C',
1581 'replace' => '\\1 E03C',
1582 ),
1583 316 =>
1584 array (
1585 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069 0B01) 0B41',
1586 'replace' => '\\1 E048',
1587 ),
1588 317 =>
1589 array (
1590 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069 0B01) 0B42',
1591 'replace' => '\\1 E04B',
1592 ),
1593 318 =>
1594 array (
1595 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069 0B01) 0B43',
1596 'replace' => '\\1 E04F',
1597 ),
1598 319 =>
1599 array (
1600 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069 0B01) E053',
1601 'replace' => '\\1 E054',
1602 ),
1603 320 =>
1604 array (
1605 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069 0B01) E056',
1606 'replace' => '\\1 E057',
1607 ),
1608 321 =>
1609 array (
1610 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069 0B01) E059',
1611 'replace' => '\\1 E05A',
1612 ),
1613 322 =>
1614 array (
1615 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069 0B01) 0B4D',
1616 'replace' => '\\1 E062',
1617 ),
1618 323 =>
1619 array (
1620 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069 0B01) E075',
1621 'replace' => '\\1 E076',
1622 ),
1623 324 =>
1624 array (
1625 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069 0B01) E07B',
1626 'replace' => '\\1 E07C',
1627 ),
1628 325 =>
1629 array (
1630 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069 0B01) E07D',
1631 'replace' => '\\1 E07F',
1632 ),
1633 326 =>
1634 array (
1635 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037) E069 0B01) E082',
1636 'replace' => '\\1 E083',
1637 ),
1638 327 =>
1639 array (
1640 'match' => '(E02B) 0B3C',
1641 'replace' => '\\1 E03C',
1642 ),
1643 328 =>
1644 array (
1645 'match' => '(E02B) 0B41',
1646 'replace' => '\\1 E048',
1647 ),
1648 329 =>
1649 array (
1650 'match' => '(E02B) 0B42',
1651 'replace' => '\\1 E04B',
1652 ),
1653 330 =>
1654 array (
1655 'match' => '(E02B) 0B43',
1656 'replace' => '\\1 E04F',
1657 ),
1658 331 =>
1659 array (
1660 'match' => '(E02B) E053',
1661 'replace' => '\\1 E054',
1662 ),
1663 332 =>
1664 array (
1665 'match' => '(E02B) E056',
1666 'replace' => '\\1 E057',
1667 ),
1668 333 =>
1669 array (
1670 'match' => '(E02B) E059',
1671 'replace' => '\\1 E05A',
1672 ),
1673 334 =>
1674 array (
1675 'match' => '(E02B) 0B4D',
1676 'replace' => '\\1 E062',
1677 ),
1678 335 =>
1679 array (
1680 'match' => '(E02B) E075',
1681 'replace' => '\\1 E076',
1682 ),
1683 336 =>
1684 array (
1685 'match' => '(E02B) E07B',
1686 'replace' => '\\1 E07C',
1687 ),
1688 337 =>
1689 array (
1690 'match' => '(E02B) E07D',
1691 'replace' => '\\1 E07F',
1692 ),
1693 338 =>
1694 array (
1695 'match' => '(E02B) E082',
1696 'replace' => '\\1 E083',
1697 ),
1698 339 =>
1699 array (
1700 'match' => '(E02C) 0B3C',
1701 'replace' => '\\1 E03C',
1702 ),
1703 340 =>
1704 array (
1705 'match' => '(E02C) 0B41',
1706 'replace' => '\\1 E048',
1707 ),
1708 341 =>
1709 array (
1710 'match' => '(E02C) 0B42',
1711 'replace' => '\\1 E04B',
1712 ),
1713 342 =>
1714 array (
1715 'match' => '(E02C) 0B43',
1716 'replace' => '\\1 E04F',
1717 ),
1718 343 =>
1719 array (
1720 'match' => '(E02C) E053',
1721 'replace' => '\\1 E054',
1722 ),
1723 344 =>
1724 array (
1725 'match' => '(E02C) E056',
1726 'replace' => '\\1 E057',
1727 ),
1728 345 =>
1729 array (
1730 'match' => '(E02C) E059',
1731 'replace' => '\\1 E05A',
1732 ),
1733 346 =>
1734 array (
1735 'match' => '(E02C) 0B4D',
1736 'replace' => '\\1 E062',
1737 ),
1738 347 =>
1739 array (
1740 'match' => '(E02C) E075',
1741 'replace' => '\\1 E076',
1742 ),
1743 348 =>
1744 array (
1745 'match' => '(E02C) E07B',
1746 'replace' => '\\1 E07C',
1747 ),
1748 349 =>
1749 array (
1750 'match' => '(E02C) E07D',
1751 'replace' => '\\1 E07F',
1752 ),
1753 350 =>
1754 array (
1755 'match' => '(E02C) E082',
1756 'replace' => '\\1 E083',
1757 ),
1758 351 =>
1759 array (
1760 'match' => '(E06B) 0B3C',
1761 'replace' => '\\1 E03C',
1762 ),
1763 352 =>
1764 array (
1765 'match' => '(E06B) 0B41',
1766 'replace' => '\\1 E048',
1767 ),
1768 353 =>
1769 array (
1770 'match' => '(E06B) 0B42',
1771 'replace' => '\\1 E04B',
1772 ),
1773 354 =>
1774 array (
1775 'match' => '(E06B) 0B43',
1776 'replace' => '\\1 E04F',
1777 ),
1778 355 =>
1779 array (
1780 'match' => '(E06B) E053',
1781 'replace' => '\\1 E054',
1782 ),
1783 356 =>
1784 array (
1785 'match' => '(E06B) E056',
1786 'replace' => '\\1 E057',
1787 ),
1788 357 =>
1789 array (
1790 'match' => '(E06B) E059',
1791 'replace' => '\\1 E05A',
1792 ),
1793 358 =>
1794 array (
1795 'match' => '(E06B) 0B4D',
1796 'replace' => '\\1 E062',
1797 ),
1798 359 =>
1799 array (
1800 'match' => '(E06B) E075',
1801 'replace' => '\\1 E076',
1802 ),
1803 360 =>
1804 array (
1805 'match' => '(E06B) E07B',
1806 'replace' => '\\1 E07C',
1807 ),
1808 361 =>
1809 array (
1810 'match' => '(E06B) E07D',
1811 'replace' => '\\1 E07F',
1812 ),
1813 362 =>
1814 array (
1815 'match' => '(E06B) E082',
1816 'replace' => '\\1 E083',
1817 ),
1818 363 =>
1819 array (
1820 'match' => '(0B3C) 0B3C',
1821 'replace' => '\\1 E03C',
1822 ),
1823 364 =>
1824 array (
1825 'match' => '(0B3C) 0B41',
1826 'replace' => '\\1 E048',
1827 ),
1828 365 =>
1829 array (
1830 'match' => '(0B3C) 0B42',
1831 'replace' => '\\1 E04B',
1832 ),
1833 366 =>
1834 array (
1835 'match' => '(0B3C) 0B43',
1836 'replace' => '\\1 E04F',
1837 ),
1838 367 =>
1839 array (
1840 'match' => '(0B3C) E053',
1841 'replace' => '\\1 E054',
1842 ),
1843 368 =>
1844 array (
1845 'match' => '(0B3C) E056',
1846 'replace' => '\\1 E057',
1847 ),
1848 369 =>
1849 array (
1850 'match' => '(0B3C) E059',
1851 'replace' => '\\1 E05A',
1852 ),
1853 370 =>
1854 array (
1855 'match' => '(0B3C) 0B4D',
1856 'replace' => '\\1 E062',
1857 ),
1858 371 =>
1859 array (
1860 'match' => '(0B3C) E075',
1861 'replace' => '\\1 E076',
1862 ),
1863 372 =>
1864 array (
1865 'match' => '(0B3C) E07B',
1866 'replace' => '\\1 E07C',
1867 ),
1868 373 =>
1869 array (
1870 'match' => '(0B3C) E07D',
1871 'replace' => '\\1 E07F',
1872 ),
1873 374 =>
1874 array (
1875 'match' => '(0B3C) E082',
1876 'replace' => '\\1 E083',
1877 ),
1878 375 =>
1879 array (
1880 'match' => '(E075) 0B3C',
1881 'replace' => '\\1 E03C',
1882 ),
1883 376 =>
1884 array (
1885 'match' => '(E075) 0B41',
1886 'replace' => '\\1 E048',
1887 ),
1888 377 =>
1889 array (
1890 'match' => '(E075) 0B42',
1891 'replace' => '\\1 E04B',
1892 ),
1893 378 =>
1894 array (
1895 'match' => '(E075) 0B43',
1896 'replace' => '\\1 E04F',
1897 ),
1898 379 =>
1899 array (
1900 'match' => '(E075) E053',
1901 'replace' => '\\1 E054',
1902 ),
1903 380 =>
1904 array (
1905 'match' => '(E075) E056',
1906 'replace' => '\\1 E057',
1907 ),
1908 381 =>
1909 array (
1910 'match' => '(E075) E059',
1911 'replace' => '\\1 E05A',
1912 ),
1913 382 =>
1914 array (
1915 'match' => '(E075) 0B4D',
1916 'replace' => '\\1 E062',
1917 ),
1918 383 =>
1919 array (
1920 'match' => '(E075) E075',
1921 'replace' => '\\1 E076',
1922 ),
1923 384 =>
1924 array (
1925 'match' => '(E075) E07B',
1926 'replace' => '\\1 E07C',
1927 ),
1928 385 =>
1929 array (
1930 'match' => '(E075) E07D',
1931 'replace' => '\\1 E07F',
1932 ),
1933 386 =>
1934 array (
1935 'match' => '(E075) E082',
1936 'replace' => '\\1 E083',
1937 ),
1938 387 =>
1939 array (
1940 'match' => '(E075) 0B41',
1941 'replace' => '\\1 E048',
1942 ),
1943 388 =>
1944 array (
1945 'match' => '(E075) 0B42',
1946 'replace' => '\\1 E04B',
1947 ),
1948 389 =>
1949 array (
1950 'match' => '(E075) 0B43',
1951 'replace' => '\\1 E04F',
1952 ),
1953 390 =>
1954 array (
1955 'match' => '(E075) E053',
1956 'replace' => '\\1 E054',
1957 ),
1958 391 =>
1959 array (
1960 'match' => '(E075) E056',
1961 'replace' => '\\1 E057',
1962 ),
1963 392 =>
1964 array (
1965 'match' => '(E075) E059',
1966 'replace' => '\\1 E05A',
1967 ),
1968 393 =>
1969 array (
1970 'match' => 'E14D 0B01',
1971 'replace' => 'E08B',
1972 ),
1973 394 =>
1974 array (
1975 'match' => 'E14D E038',
1976 'replace' => 'E08B',
1977 ),
1978 395 =>
1979 array (
1980 'match' => 'E14D E039',
1981 'replace' => 'E08B',
1982 ),
1983 396 =>
1984 array (
1985 'match' => 'E14D E149',
1986 'replace' => 'E08B',
1987 ),
1988 397 =>
1989 array (
1990 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) E0FD',
1991 'replace' => '\\1 E11E',
1992 ),
1993 398 =>
1994 array (
1995 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) E109',
1996 'replace' => '\\1 E121',
1997 ),
1998 399 =>
1999 array (
2000 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) E110',
2001 'replace' => '\\1 E11F',
2002 ),
2003 400 =>
2004 array (
2005 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) E111',
2006 'replace' => '\\1 E120',
2007 ),
2008 401 =>
2009 array (
2010 'match' => '((E00E|E00F|E010|E011|E012|E013|E01B|E01C|E01E|E01F|E020|E023|E024|E025|E026|E027|E028|E029|E02A|E02B|E02C|E02D|E02E|E02F|E030|E031|E032|E033|E034|E035|E036|E037)) E11C',
2011 'replace' => '\\1 E122',
2012 ),
2013 402 =>
2014 array (
2015 'match' => '(E076) 0B41',
2016 'replace' => '\\1 E048',
2017 ),
2018 403 =>
2019 array (
2020 'match' => '(E076) 0B42',
2021 'replace' => '\\1 E04B',
2022 ),
2023 404 =>
2024 array (
2025 'match' => '(E076) 0B43',
2026 'replace' => '\\1 E04F',
2027 ),
2028 405 =>
2029 array (
2030 'match' => '(E076) E053',
2031 'replace' => '\\1 E054',
2032 ),
2033 406 =>
2034 array (
2035 'match' => '(E076) E056',
2036 'replace' => '\\1 E057',
2037 ),
2038 407 =>
2039 array (
2040 'match' => '(E076) E059',
2041 'replace' => '\\1 E05A',
2042 ),
2043 408 =>
2044 array (
2045 'match' => '(E076) 0B4D',
2046 'replace' => '\\1 E062',
2047 ),
2048 409 =>
2049 array (
2050 'match' => '(E090) E10E',
2051 'replace' => '\\1 E11C',
2052 ),
2053 410 =>
2054 array (
2055 'match' => '(E0B1) E10E',
2056 'replace' => '\\1 E11C',
2057 ),
2058 411 =>
2059 array (
2060 'match' => '(E09F) E10E',
2061 'replace' => '\\1 E11C',
2062 ),
2063 412 =>
2064 array (
2065 'match' => '(E092) E10E',
2066 'replace' => '\\1 E11C',
2067 ),
2068 413 =>
2069 array (
2070 'match' => '(E0A8) E10E',
2071 'replace' => '\\1 E11C',
2072 ),
2073 414 =>
2074 array (
2075 'match' => '(E0A3) E106',
2076 'replace' => '\\1 E0FC',
2077 ),
2078 415 =>
2079 array (
2080 'match' => '(E0AF) E106',
2081 'replace' => '\\1 E0FC',
2082 ),
2083 416 =>
2084 array (
2085 'match' => '((0B16|0B17|0B18|0B1F|0B23|0B25|0B27|0B2A|0B2B|0B2E|0B2F|0B37|0B38)) 0B01',
2086 'replace' => '\\1 E039',
2087 ),
2088 417 =>
2089 array (
2090 'match' => '(0B10) 0B01',
2091 'replace' => '\\1 E149',
2092 ),
2093 418 =>
2094 array (
2095 'match' => '(0B14) 0B01',
2096 'replace' => '\\1 E149',
2097 ),
2098 419 =>
2099 array (
2100 'match' => '(E069) 0B01',
2101 'replace' => '\\1 E149',
2102 ),
2103 420 =>
2104 array (
2105 'match' => '(E06A) 0B01',
2106 'replace' => '\\1 E149',
2107 ),
2108 421 =>
2109 array (
2110 'match' => '(E06B) 0B01',
2111 'replace' => '\\1 E149',
2112 ),
2113 422 =>
2114 array (
2115 'match' => '(E06C) 0B01',
2116 'replace' => '\\1 E149',
2117 ),
2118 423 =>
2119 array (
2120 'match' => '0B21 0B4D (E035)',
2121 'replace' => 'E12F \\1',
2122 ),
2123 424 =>
2124 array (
2125 'match' => ' (E035)',
2126 'replace' => ' \\1',
2127 ),
2128 425 =>
2129 array (
2130 'match' => ' (E035)',
2131 'replace' => ' \\1',
2132 ),
2133 426 =>
2134 array (
2135 'match' => ' (E035)',
2136 'replace' => ' \\1',
2137 ),
2138 427 =>
2139 array (
2140 'match' => ' (E035)',
2141 'replace' => ' \\1',
2142 ),
2143 428 =>
2144 array (
2145 'match' => ' (E035)',
2146 'replace' => ' \\1',
2147 ),
2148);
2149?> \ No newline at end of file